verilog仿真——8选1数据选择器74HC151

任务 实现74HC151的程序设计与仿真 用两片74HC151连接成1个16选1的数据选择器 74HC151 思路 数据选择器介绍 参照芯片的引脚设计 程序设计 仿真 16选1数据选择器 思路 用两片实现,则1片代表高8位,1片代表低8位 当选择端最高位有效时,从高8位中的数据端读取;反之,从低8位中的数据端读取 程序设计 仿真