verilog仿真——7段显示译码器74HC4511

任务 实现74HC4511的程序设计与仿真 思路 根据芯片的真值表直接设计,输入与输出的对应关系。 将输入端口的各功能对应实现: //D[3:0] 为输入信号 //Y[6:0] 译码器输出编码 //LT_n:灯测试输入,低电平有效,所有输出置1,检查好坏 //BL_n:当灭灯输入;当LT_n无效时,低电平有效,所有输出置0,清晰显示 //LE:译码器锁存使能输入;当LT_n与BL_n皆无效时,高电平有效,则译码器显示不变化 对于低电平有效的信号,应该以一个下划线跟一个小写字母b 或n 表示。注意在同一个设计中要使用同一个小写字母表示低电平有效 这里采用XX_n 程序设计 仿真 错误 模块命名不能以数字开头