verilog仿真——3线-8线译码器74X138

任务 实现74X138的程序设计 用74X139和74X138构成5线-32线译码器 74LS139 为两个2线-4 线译码器 74X138 思路 参考译码器芯片的功能,进行输入端口到输出端口的对应,并实现相关输入控制端口的特性。 程序设计 仿真 74LS139 思路 根据功能表进行设计 程序设计 仿真 5线-32线译码器 思路 4个74X138做为输出,分别为X3,X2,X1,X0 而1片74LS139做为最高2位的选择,分别对应4片74X138 程序设计 仿真